baiko_bg

Products

10AX048H2F34E2SG 100% Vaovao sy tany am-boalohany tahiry voatahiry ao amin'ny fianakaviana Buffer Clock.

famaritana fohy:

Ny fianakaviamben'ny fitaovana Arria 10 dia manome fampisehoana avo lenta kokoa noho ny taranaka teo aloha amin'ny FPGA antonony sy avo lenta ary manolotra fahaiza-manao ower azo amin'ny alàlan'ny teknolojia mitsitsy herinaratra.Ny fitaovana Arria 10 dia mety amin'ny fampiharana avo lenta, saro-pady, midrange amin'ny tsena isan-karazany.


Product Detail

Tags vokatra

Toetra vokatra

EU RoHS mifanaraka tsara
ECCN (NY) 3A001.a.7.b
Toetran'ny ampahany Active
HTS 8542.39.00.01
Automotive No
PPAP No
Anarana Arria® 10 GX
Process Technology 20nm
Mpampiasa I/Os 492
Isan'ny rejisitra 727160
Volavolan'ny famatsiana miasa (V) 0.9
Singa lojika 480000
Isan'ny Multipliers 2736 (18x19)
Karazana fahatsiarovana fandaharana SRAM
Fahatsiarovana tafiditra (Kbit) 28760
Total isan'ny Block RAM 1438
EMACs 3
Units Logic Device 480000
Isan'ny fitaovana DLL/PLL 12
Transceiver Channels 36
Haingam-pandeha (Gbps) 17.4
DSP manokana 1368
PCIe 2
Programmability ENY
Fanohanana Reprogrammability ENY
Copy Protection ENY
In-System Programmability ENY
Naoty hafainganam-pandeha 2
Fenitry ny I/O tokana LVTTL|LVCMOS
Interface fitadidiana ivelany DDR3 SDRAM|DDR4|LPDDR3|RLDRAM II|RLDRAM III|QDRII+SRAM
Volavolan'ny famatsiana kely indrindra (V) 0.87
Famatsiana famatsiana ambony indrindra (V) 0.93
Volavolan'ny I/O (V) 1.2|1.25|1.35|1.5|1.8|2.5|3
Temperature miasa ambany indrindra (°C) 0
Temperature miasa ambony indrindra (°C) 100
Hafatry ny maripana mpamatsy maharitra
Anaram-barotra Arria
fitomboan'ny Surface Mount
Haavo fonosana 2.8
Sakan'ny fonosana 35
Ny halavan'ny fonosana 35
Niova ny PCB 1152
Anaran'ny fonosana mahazatra BGA
Fonosana mpamatsy FBGA
Isan'ny Pin 1152
Endriky ny fitarihana Baolina

Fampidirana vokatra

Ny fitaovana Intel Arria 10 dia mampiasa ALM 20 nm ho singa fototra amin'ny lamba lojika.Ny maritrano ALM dia mitovy amin'ireo FPGA taranaka teo aloha, mamela ny fampiharana mahomby ny fiasa lojika sy ny fiovam-po mora amin'ny IP eo amin'ireo taranaka fitaovana.Ny ALM dia mampiasa latabatra 8-input fracturable look-up (LUT) miaraka amin'ny rejisitra efatra voatokana hanampy amin'ny fanatsarana ny fanakatonana ny fotoana amin'ny endrika manankarena amin'ny rejisitra ary hahatratra ny fahaiza-manao famolavolana avo kokoa noho ny rejistra roa mahazatra isaky ny maritrano LUT.

Endriky ny vokatra

Ireo transceiver serial manana hery ambany

• Fampiasana tsy tapaka:

— Intel Arria 10 GX—1 Gbps hatramin'ny 17.4 Gbps
— Intel Arria 10 GT—1 Gbps hatramin'ny 25.8 Gbps
• Fanohanana backplane:
- Intel Arria 10 GX—hatramin'ny 12.5
- Intel Arria 10 GT—hatramin'ny 12.5
• Ny halavirana miitatra hatrany amin'ny 125 Mbps miaraka amin'ny oversampling
• ATX dia mamindra PLL miaraka amin'ny fahaiza-manao synthesis fractional azo amboarina ho an'ny mpampiasa
• Fanohanana Electronic Dispersion Compensation (EDC) ho an'ny XFP, SFP+, QSFP, ary CFP optical
module
• Fampitaovana tsipika sy fanapaha-kevitra mifanaraka amin'izany
• Fampitandremana mialoha sy manafoana ny fanamafisana
• Fanamboarana ampahany mavitrika amin'ny fantsona transceiver tsirairay

  • teo aloha:
  • Manaraka:

  • Soraty eto ny hafatrao ary alefaso aminay