baiko_bg

Products

Vidio ny 5V927PGGI8

famaritana fohy:


Product Detail

Tags vokatra

Toetra vokatra

TYPE Description
Sokajy Circuit Integrated (ICs)

Famantaranandro/Fotoana

Mpamorona famantaranandro, PLL, Synthesizer matetika

Mfr Renesas Electronics America Inc
ANDIAN-DAHATSORATRA -
Package Tape & Reel (TR)
Toetran'ny vokatra lany andro
Type Mpamorona famantaranandro
PLL Eny miaraka amin'ny Bypass
fahan'ny LVTTL, Crystal
Fivoahana LVTTL
Isan'ny Circuit 1
Ny tahan'ny - Input: Output 2:4
Differential - Input: Output Tsia tsia
Frequency - Max 160MHz
Divider/Multiplier Eny Tsia
Voltage – Famatsiana 3V ~ 3.6V
Temperature miasa -40°C ~ 85°C
Karazana fametrahana Surface Mount
Package / Raharaha 16-TSSOP (0.173″, 4.40mm ny sakany)
Fonosana fitaovana mpamatsy 16-TSSOP
Laharana vokatra fototra IDT5V927

Documents & Media

KARAZANA RESOURCE ROHINY
Takelaka data IDT5V927
PCN Obsolescence/EOL Fanavaozana 23/Dec/2013

Fitaovana maro 28/Oct/2013

Takelaka data HTML IDT5V927

Fanasokajiana momba ny tontolo iainana & fanondranana

toetra Description
Ambaratonga fahatsapan'ny hamandoana (MSL) 1 (Tsy voafetra)
Satan'ny REACH REACH Tsy misy fiantraikany
ECCN EAR99
HTSUS 8542.39.0001

Loharano fanampiny

toetra Description
Anarana hafa 5V927PGGI8
Fonosana mahazatra 4.000

Product Details
24-BIT DIGITAL SIGNAL PROCESSOR

Ny Motorola DSP56307, mpikambana ao amin'ny fianakavian'ny DSP56300 an'ny mpandrindra famantarana nomerika (DSPs) azo programa, dia manohana ny fampiharana fotodrafitrasa tsy misy tariby miaraka amin'ny asa fanivanana ankapobeny.Ny on-chip enhanced filter coprocessor (EFCOP) dia mamadika algorithm sivana mifanaraka amin'ny asa fototra, ka mampitombo ny fahombiazan'ny DSP amin'ny ankapobeny.Tahaka ireo mpikambana hafa ao amin'ny fianakaviana, ny DSP56307 dia mampiasa motera fampandehanana avo lenta, tsingerin'ny famantaranandro tokana isaky ny fampianarana (kaody mifanaraka amin'ny fianakaviana fototra DSP56000 malaza Motorolas), barrel shifter, adiresy 24-bit, cache torolàlana, ary mpanara-maso ny fidirana amin'ny fahatsiarovana mivantana, toy ny ao amin'ny sary 1. Ny DSP56307 dia manolotra fampisehoana amin'ny toromarika 100 tapitrisa (MIPS) isan-tsegondra amin'ny fampiasana famantaranandro 100 MHz anatiny miaraka amin'ny 2.5 volt core ary 3.3 volt tsy miankina amin'ny herin'ny fidirana / famoahana.

Overview
Amin'ny fampiasana ny rafitra miorina amin'ny tsanganana ASMBL (Advanced Silicon Modular Block) andiany faharoa, ny XC5VLX330T-3FFG1738I dia misy sehatra dimy miavaka (family), ny safidy indrindra atolotry ny fianakaviana FPGA rehetra.Ny sehatra tsirairay dia misy isan-karazany ny endri-javatra mba hamahana ny filan'ny karazana lojika mandroso.Ho fanampin'ny lamba lojika avo lenta avo lenta, XC5VLX330T-3FFG1738I FPGAs dia misy sakana ambaratonga rafitra IP mafy, ao anatin'izany ny 36-Kbit block RAM/FIFOs, taranaka faharoa 25 x 18 DSP slices, Select IO technology with built- amin'ny impedance fehezin'ny nomerika, blocs interface tsara miaraka amin'ny loharano Chip Sync, fiasa fanaraha-maso ny rafitra,

TOETOETRA
DSP56300 Core kalitao avo indrindra
● Torolàlana 100 tapitrisa isan-tsegondra (MIPS) miaraka amin'ny famantaranandro 100 MHz amin'ny 2,5 V core sy 3,3 VI/O
● Kaody zavatra mifanaraka amin'ny fototra DSP56000
● Fitaovana fampianarana mifanitsy indrindra
● Vondrona lojika arithmetika (ALU)
- Fampidirana 24 x 24 bit parallèle multiplier-accumulator feno
- 56-bit parallèle barrel shifter (faingana haingana sy normalization; famokarana bit stream sy parsing)
- Torolàlana ALU misy fepetra
- Fanohanana aritmetika 24-bit na 16-bit eo ambany fifehezan'ny rindrambaiko
● Fandaharana fanaraha-maso (PCU)
- Fanohanana kaody mahaleo tena (PIC).
- Ny maodely adiresy namboarina ho an'ny rindranasa DSP (ao anatin'izany ny offset avy hatrany)
- On-chip torolalana cache controller
- On-chip fitadidiana-miitatra fitahirizana fitahirizana
- Loharano DO amin'ny fitaovana nested
- Fiatoana mandeha ho azy haingana
● Fidirana fahatsiarovana mivantana (DMA)
- fantsona DMA enina manohana ny fidirana anatiny sy ivelany
- Famindrana amin'ny lafiny iray, roa, ary telo (anisan'izany ny buffering boribory)
- Fiatoana amin'ny fiafaran'ny sakana-famindrana
- Fihetseham-po avy amin'ny tsipika manapaka sy ny periferika rehetra
● Taolana mihidy (PLL)
- Mamela ny fiovan'ny fatorana fizarazarana hery ambany (DF) tsy misy hidin-trano
- Famantaranandro mivoaka miaraka amin'ny fanafoanana skew
● Fanohanana ny debug amin'ny fitaovana
- On-Chip Emulation (On CE) module
- Seranana fidirana amin'ny fitsapana (TAP) miaraka amin'ny vondrona hetsika fitsapana (JTAG)
- Ny fomba fanaraha-maso adiresy dia maneho ny fidirana amin'ny Program RAM ao amin'ny seranana ivelany


  • teo aloha:
  • Manaraka:

  • Soraty eto ny hafatrao ary alefaso aminay