baiko_bg

Products

  • DP83848CVVX/NOPB Original Electronic Component IC Chip Integrated Circuit

    DP83848CVVX/NOPB Original Electronic Component IC Chip Integrated Circuit

    Ny chip PHY dia circuit hybride analog-digital, izay tompon'andraikitra amin'ny fandraisana famantarana analoga toy ny herinaratra sy hazavana.Aorian'ny demodulation sy ny fiovam-po A / D, dia alefa any amin'ny chip MAC ny famantarana ho an'ny fanodinana amin'ny alàlan'ny interface MII.Amin'ny ankapobeny, ny chips MAC dia faritra nomerika madiodio.Ny sosona ara-batana dia mamaritra ny mari-pamantarana elektrika sy optika, sata mifehy ny tsipika, fanondroana famantaranandro, fanodinana angon-drakitra ary fizaran-tany ilaina amin'ny fampitana sy fandraisana ny angona, ary manome fifandraisana mahazatra amin'ireo fitaovana sosona mampifandray data.Ny chip sosona ara-batana dia antsoina hoe PHY.

  • TPA3128D2DAPR Vaovao & tany am-boalohany DC To DC Converter & Switching Regulator Chip

    TPA3128D2DAPR Vaovao & tany am-boalohany DC To DC Converter & Switching Regulator Chip

    Ny fanamafisam-peo TPA3128D2 Class D dia manana fatiantoka kely tsy miasa.Ity fampiasa ity dia manampy amin'ny fanitarana ny faharetan'ny batterie amin'ny fanamafisam-peo Bluetooth/wireless sy rafi-peo hafa mandeha amin'ny bateria.Ny fitaovana TPA3128D2 dia tena mahomby ary afaka manatitra 2 × 30W tsy mila fanariana hafanana ivelany amin'ny PCB roa sosona.Ny TPA3128D2 mandroso oscillator/programmable phase-locked loop (PLL) circuit dia manana safidy matetika mifamadika.Ity safidy ity dia ahafahan'ny fitaovana misoroka ny fitsabahana AM ary, rehefa ampiasaina amin'ny safidin'ny master/slave mode, dia ahafahan'ny fitaovana maro atambatra.

  • LMR14030SQDDARQ1 tany am-boalohany sy vaovao miaraka amin'ny vidiny mifaninana amin'ny tahiry IC mpamatsy

    LMR14030SQDDARQ1 tany am-boalohany sy vaovao miaraka amin'ny vidiny mifaninana amin'ny tahiry IC mpamatsy

    Ny fatran'ny fatran'ny fampandehanana midadasika azo ovaina an'ny regulator dia ahafahana manatsara ny fahaiza-manao na ny haben'ny singa ivelany.Ny tambin-karama anatiny dia midika fa ny mpampiasa dia tsy mila manao ny asa mandreraka amin'ny famolavolana ireo singa fanonerana tady.Ary koa mba hampihenana ny isan'ny singa ivelany amin'ny fitaovana.Manamora ny fanaraha-mason'ny mpandrindra sy ny filaharan'ny herin'ny rafitra ny précision.Ho fanampin'izany, manana endri-piarovana maromaro voaorina ilay fitaovana: fiarovana fetra amin'ny tsingerina amin'ny tsingerina, fandrefesana ny hafanana ary fiarovana fanakatonana mafana amin'ny fanaparitahana herinaratra be loatra, ary fiarovana amin'ny overvoltage.

  • AM1808EZWT3 Original sy Vaovao miaraka amin'ny vidiny mifaninana amin'ny tahiry IC mpamatsy

    AM1808EZWT3 Original sy Vaovao miaraka amin'ny vidiny mifaninana amin'ny tahiry IC mpamatsy

    Ny chips microcontroller dia afaka mahatsapa ny asa isan-karazany amin'ny fanoratana programa fanaraha-maso, toy ny fanaraha-maso ny motera, ny jiro, ny sensor, sns. Afaka manatanteraka asa toy ny fameram-potoana, ny kaontera, ary ny fanodinana interrupt, ka mahatsapa ny fanaraha-maso sy ny fiasan'ny faritra.

  • OPA1612AIDR Fanamafisana fampandehanan-peo avo lenta sy bipolar-input

    OPA1612AIDR Fanamafisana fampandehanan-peo avo lenta sy bipolar-input

    Ny fanamafisam-peo OPA1612AIDR amin'ny ankapobeny dia maneho ny faratampon'ny teknolojia fanamafisam-peo.Ny fahaiza-manaony tsy manam-paharoa, ny fampisehoana ary ny fahamendrehana dia mahatonga azy ho safidy tsara ho an'ny fampiharana audio rehetra.Na matihanina amin'ny indostrian'ny audio ianao na mpikirakira audio fotsiny mitady traikefa momba ny feo farany, dia tsy maintsy ananana ity fanamafisam-peo ity.Havaozy ny fanamafisam-peonao anio ary amboary ny tena mety amin'ny fanamafisam-peo miaraka amin'ny fanamafisam-peo manerantany OPA1612AIDR.

  • Ireo singa mifandraika amin'ny DSP-TMS320C6746EZWTD4

    Ireo singa mifandraika amin'ny DSP-TMS320C6746EZWTD4

    Ny TMS320C6746 DSP raikitra sy mitsingevana dia processeur fampiharana mahery vaika mifototra amin'ny core C674x DSP.Ity DSP ity dia manome hery ambany kokoa noho ny mpikambana hafa amin'ny sehatra TMS320C6000™ an'ny DSP.
    Io fitaovana io dia ahafahan'ny mpanamboatra fitaovana tany am-boalohany (OEM) sy mpanamboatra endrika tany am-boalohany (ODMs) hitondra haingana any amin'ny tsenan'ny fitaovana miaraka amin'ny rafitra miasa matanjaka, interface tsara ho an'ny mpampiasa, ary ny fahombiazan'ny processeur amin'ny alàlan'ny flexibility ambony indrindra amin'ny vahaolana processeur mifangaro tanteraka.Ny fitaovana fototra DSP dia mampiasa rafitra mifototra amin'ny cache 2-level.Ny cache programme level 1 (L1P) dia cache voapetaka mivantana 32 KB, ary ny cache data level 1 (L1D) dia cache 32 KB 2-way, set-associative cache.Ny cache program level 2 (L2P) dia misy habaka fitadidiana 256 KB izay zaraina eo anelanelan'ny programa sy ny habaka data.Ny fahatsiarovana L2 dia azo amboarina ho fahatsiarovana sarintany, cache, na fitambaran'ny roa.Ny DSP L2 dia azon'ny mpampiantrano hafa ao amin'ny rafitra.

  • Vidiny Logic & Flip Flops-SN74LVC74APWR

    Vidiny Logic & Flip Flops-SN74LVC74APWR

    Ny fitaovana SNx4LVC74A dia mampiditra flip-flops D-type amin'ny lafiny iray tsara
    fitaovana.
    Ny SN54LVC74A dia natao ho an'ny asa 2.7-V hatramin'ny 3.6-V VCC, ary ny SN74LVC74A dia natao ho an'ny
    1.65-V hatramin'ny 3.6-V VCC miasa.Ny ambaratonga ambany amin'ny fampidirana preset (PRE) na mazava (CLR) dia mametraka na mamerina ny vokatra, na inona na inona haavon'ny fampidirana hafa.Rehefa tsy mavitrika (avo) ny PRE sy CLR, ny angon-drakitra ao amin'ny fidirana data (D) mahafeno ny fepetra takian'ny fotoana fananganana dia afindra any amin'ny vokatra eo amin'ny sisin'ny famantaran'ny famantaranandro.Mitranga amin'ny haavon'ny voly ny famotehana famantaranandro ary tsy mifandray mivantana amin'ny fisondrotry ny fiposahan'ny famantaranandro.Aorian'ny elanelam-potoana fihazonana dia azo ovaina ny angon-drakitra ao amin'ny fidirana D tsy misy fiantraikany amin'ny haavon'ny vokatra.Ny data I/Os sy ny fidirana amin'ny fanaraha-maso dia mandefitra overvoltage.Ity endri-javatra ity dia mamela ny fampiasana ireo fitaovana ireo ho an'ny fandikana midina amin'ny tontolo mifangaro voly.

  • Famantaranandro tena fotoana-PCF8563T/F4,118

    Famantaranandro tena fotoana-PCF8563T/F4,118

    Ny PCF8563 dia CMOS1 Real-Time Clock (RTC) ary kalandrie natao ho an'ny herinaratra ambany.
    fanjifana.Ny famoahan'ny famantaranandro azo programa, ny fivoahan'ny fiatoana, ary ny mpitsikilo malefaka dia
    nomena koa.Ny adiresy sy angon-drakitra rehetra dia afindra amin'ny alàlan'ny bidirectional roa andalana
    I 2C-bus.Ny hafainganam-pandeha ambony indrindra dia 400 kbit/s.Mitombo ny adiresin'ny rejisitra
    mandeha ho azy isaky ny soratana na mamaky angona byte.
  • TPS62136RGXR - Mpandrindra Volavolan'ny Volavolan-tsarimihetsika, Mpandrindra Fanovàna DC DC

    TPS62136RGXR - Mpandrindra Volavolan'ny Volavolan-tsarimihetsika, Mpandrindra Fanovàna DC DC

    Ny TPS62136 sy TPS621361 dia mahomby indrindra
    ary mora ampiasaina synchronous step-down DC-DC
    converters, mifototra amin'ny Topology DCS-Control™.
    Ireo fitaovana midadasika midadasika amin'ny 3-V ka hatramin'ny 17-V
    mahatonga azy ho mety amin'ny multi-cell Li-Ion ary koa ny 12-V
    lalamby famatsiana manelanelana.Ny fitaovana dia manome 4-A
    Output mitohy ankehitriny.Ireo singa mifandraika amin'ny TPS62136
    miditra ho azy ny Power Save Mode amin'ny entana maivana
    mba hihazonana fahombiazana ambony manerana ny entana rehetra
    faritra.Miaraka amin'izany, ny fitaovana dia mety tsara amin'ny
    fampiharana izay mitaky standby mifandray
    fampisehoana, toy ny solosaina faran'izay ambany.Miaraka amin'ny
    MODE pin napetraka ho ambany, ny fampandehanana matetika ny
    Ny fitaovana dia amboarina ho azy araka ny fampidirana
    ary ny Output Volt.

  • Ny vidiny sy ny fotoana nitarika ny LCMXO2-256HC-4TG100C arakaraka ny habetsahany takiana, ny fahazoana sy ny toerana fivarotana.

    Ny vidiny sy ny fotoana nitarika ny LCMXO2-256HC-4TG100C arakaraka ny habetsahany takiana, ny fahazoana sy ny toerana fivarotana.

    Ny Complex Programmable Logic Device (CPLD) dia Circuit Integrated (ASIC) manokana amin'ny fampiharana ao amin'ny LSI (Large Scale Integrated Circuit) Integrated Circuit.Mety amin'ny fanaraha-maso ny famolavolana rafitra nomerika mahery vaika, ary ny fanaraha-maso ny fahatarana dia mety.CPLD dia iray amin'ireo fitaovana mitombo haingana indrindra amin'ny circuit integrated.
    Ireo singa mifandraika amin'ny CPLD
    Ny CPLD dia fitaovana lozika azo rindrankajy be pitsiny miaraka amin'ny rafitra lehibe sy saro-takarina, izay an'ny faritra midadasika midadasika.

     

  • TPA2013D1RGPR Audio Amp Speaker Komponen elektronika Integrated Circuit IC Chip 100% Vaovao & Original

    TPA2013D1RGPR Audio Amp Speaker Komponen elektronika Integrated Circuit IC Chip 100% Vaovao & Original

    Ity amplifier TPA2013D1RGPR kilasy D ity dia manana tarika midadasika midadasika.Ity fanamafisam-peo ity dia mahazaka zotra hatramin'ny 1700 mA.Ny fatiantoka ambony indrindra dia 2500 mW.Izy io dia manana mari-pamantarana fampidiran-drivotra sy mari-pamantarana fivoahana samihafa.Izy io dia manana fanoherana enta-mavesatra ambony indrindra amin'ny 8 Ohm.Ny voltase famatsiana roa tonta mahazatra azy dia 3|5 V, miaraka amin'ny 1,8|3 V farafahakeliny ary 5,5 V ambony indrindra.Ity fanamafisam-peo ity dia manana mari-pana miasa ambany indrindra -40 °C ary 85 °C ambony indrindra.Ity fitaovana ity dia mampiasa famatsiana herinaratra roa.

  • XCKU060-1FFVA1156I Original Vaovao miaraka amin'ny vidiny tsara indrindra amin'ny stock IC mpamatsy

    XCKU060-1FFVA1156I Original Vaovao miaraka amin'ny vidiny tsara indrindra amin'ny stock IC mpamatsy

    Toetran'ny vokatra TYPE DESCRIPTION Sokajy Integrated Circuits (ICs)Embedded FPGAs (Field Programmable Gate Array) Mfr AMD Series Kintex® UltraScale™ Package Bulk Product Status Active Number of LABs/CLBs 41460 Isan'ny Lôjika Elements/Cell 725550 Total Number of IRAM 725550 /O 520 Voltage – Famatsiana 0.922V ~ 0.979V Karazana fanamafisam-peo Tendrombohitra Tendrombohitra Mandehana -40°C ~ 100°C (TJ) Package / Case 1156-BBGA, FCBGA Mpamatsy Fitaovana Package 1156-FCBG...